[release-notes] Expand discussion of gtkwave

John J. McDonough jjmcd at fedoraproject.org
Tue Nov 12 12:52:00 UTC 2013


commit f6c318c937808a2754bd31bb5d7958957b17ccae
Author: John J. McDonough <jjmcd at fedoraproject.org>
Date:   Tue Nov 12 07:51:54 2013 -0500

    Expand discussion of gtkwave

 en-US/Circuit_Design.xml |   60 +++++++++++++++++++++++++++++++++++++++++++++-
 1 files changed, 59 insertions(+), 1 deletions(-)
---
diff --git a/en-US/Circuit_Design.xml b/en-US/Circuit_Design.xml
index a044057..89bcfc1 100644
--- a/en-US/Circuit_Design.xml
+++ b/en-US/Circuit_Design.xml
@@ -11,7 +11,65 @@
     <title>gtkwave</title>
     <indexterm><primary>gtkterm</primary></indexterm>
     <para>
-      <package>gtkwave</package> has been updated from 3.3.41 to 3.3.46.
+      <package>gtkwave</package> has been updated from 3.3.41 to
+      3.3.51.  This includes a large number of upgrades including
+      <itemizedlist spacing="compact">
+	<listitem><para>Adding more support for newer constructs in
+	Vermin.</para></listitem>
+	<listitem><para>Added scrollwheel support to rtlbrowse code
+	windows.</para></listitem>
+	<listitem><para>Added VPD support via vpd2vcd.</para></listitem>
+	<listitem><para>Added preliminary do-nothing generate support
+	in vermin.</para></listitem> 
+	<listitem><para>Added "Open Hierarchy" option that will expand
+	the SST and select the hierarchy for a given signal selected
+	in the Signals window.</para></listitem>
+	<listitem><para>Added preliminary support for
+	FsdbReader.</para></listitem> 
+	<listitem><para>Added generate as scope type to
+	VCD/FST/FSDB.</para></listitem> 
+	<listitem><para>Display signal direction column in SST if not
+	all signals are declared as FST_VD_IMPLICIT.</para></listitem> 
+	<listitem><para>Added extraction of in/out/inout from FSDB
+	into FST with vcd2fst helper executable.</para></listitem>
+	<listitem><para>Added support for SV structures, unions,
+	classes, packages, programs, and interfaces.</para></listitem>
+	<listitem><para>Preliminary support for SV datatypes of bit,
+	logic, int, shortint, longint, byte, enum, and shortreal in
+	VCD and FST.  Added sparse array datatype to FST (currently
+	unused by gtkwave).</para></listitem>
+	<listitem><para>Added support for attribute begin/end in FST.
+	(Currently unused by gtkwave.)  This allows embedding of
+	various data inside the structure tree.</para></listitem>
+	<listitem><para>Added ability to store $comment in FST files
+	via the attribute mechanism
+	(FST_AT_MISC/FST_MT_COMMENT).</para></listitem> 
+	<listitem><para>Added ability to store environment variable
+	information in FST files (FST_MT_ENVVAR).</para></listitem>
+	<listitem><para>Added direction filters to SST name filter
+	search.  That is, adding +I+, +O+, +IO+, +B+, or +L+ before
+	the regular expression adds additional filtering criteria.
+	Direction filters are case-insensitive.</para></listitem>
+	<listitem><para>Added VHDL hierarchy types to FST, internal
+	VCD loaders and also vcdfst/fst2vcd.</para></listitem>
+	<listitem><para>Added in VHDL to FST (which will also allow
+	other languages): gtkwave can process these types (e.g.,
+	signal + std_ulogic), but there are currently no simulators
+	supporting them.</para></listitem>
+	<listitem><para>Added "/File/Grab To File" PNG image grab menu
+	option.</para></listitem>
+	<listitem><para>Added VHDL package type to
+	FST.</para></listitem>
+	<listitem><para>Added fstWriterSetSourceStem() so writers can
+	embed source stems in the FST file.</para></listitem>
+	<listitem><para>Updated examples/gtkwaverc accel options to
+	reflect the current state of the gtkwave main window main
+	menu.</para></listitem>
+      </itemizedlist>
+      In addition, there are numerous bug fixes and internal
+      improvements. More detail may be found in the
+      <filename>ChangeLog</filename> or on the web site at <ulink
+      type="http" url="http://gtkwave.sourceforge.net/" />.
     </para>
   </section>
 


More information about the docs-commits mailing list